Что такое findslide.org?

FindSlide.org - это сайт презентаций, докладов, шаблонов в формате PowerPoint.


Для правообладателей

Обратная связь

Email: Нажмите что бы посмотреть 

Яндекс.Метрика

Презентация на тему Языки описания аппаратуры (hdl-языки)

Содержание

разновидности языки низкого уровня (аналоги языков программирования типа ассемблера) высокого уровня
Языки описания аппаратуры (HDL-языки) разновидности языки низкого уровня (аналоги языков программирования типа ассемблера) высокого уровня Языки низкого уровня ближе к аппаратным средствам, вследствие чего представляют для компиляторов Языки высокого уровня менее связаны с аппаратными платформами и поэтому более универсальны. Язык VHDLЯзык VHDL появился в начале 80-х годов по заказу организации Министерства обороны Синтаксические конструкции языка содержат две составляющие – общеалгоритмическую и проблемно-ориентированную Общеалгоритмическая состовляющая языка достаточно традиционна и содержит как традиционные операторы действия (присваивание Каждый объект проекта состоит, как минимум, из двух различных типов описаний: описания Для описания поведения объекта или его структуры служит архитектурное тело (architecture body). Язык VHDL является очень распространенным языком описания аппаратуры. Язык Verilog Язык Verilog был разработан фирмой Gateway Design Automaton как внутренний язык симуляции. Основной структурной единицей Verilog описания является module. Модуль соответствует entity в VHDL. Как правило, модуль содержит список портов — интерфейсных сигналов, которые служат для Язык SystemCНеобходимость создания нового языка описания аппаратуры возникает в том случае, если существующие В 1999 году вышла в свет первая версия библиотеки SystemC 0.9. SystemC представляет собой надстройку стандартного языка программирования С++, реализованную в виде отдельных
Слайды презентации

Слайд 2 разновидности
языки низкого уровня (аналоги языков программирования типа

разновидности языки низкого уровня (аналоги языков программирования типа ассемблера) высокого уровня

ассемблера)
высокого уровня


Слайд 3 Языки низкого уровня ближе к аппаратным средствам, вследствие

Языки низкого уровня ближе к аппаратным средствам, вследствие чего представляют для

чего представляют для компиляторов потенциальные возможности создания проектов с

более выигрышными параметрами. Имеют жесткую ориентация на определенную аппаратуру и призводящую ее фирму.
Примерами таких языков могут служить языки PLDASM (фирма Intel), AHDL (Фирма Altera) и ABEL (Фирма Zilinx).

Слайд 4 Языки высокого уровня менее связаны с аппаратными платформами

Языки высокого уровня менее связаны с аппаратными платформами и поэтому более

и поэтому более универсальны. Среди них наиболее распространены языки

VHDL и Verilog. 

Слайд 5 Язык VHDL
Язык VHDL появился в начале 80-х годов по

Язык VHDLЯзык VHDL появился в начале 80-х годов по заказу организации Министерства

заказу организации Министерства обороны США. Первая его версия, предназначенная

в основном для унификации описаний проектов в различных ведомствах, была принята в 1985 году.

Слайд 6 Синтаксические конструкции языка содержат две составляющие – общеалгоритмическую

Синтаксические конструкции языка содержат две составляющие – общеалгоритмическую и проблемно-ориентированную

и проблемно-ориентированную


Слайд 7 Общеалгоритмическая состовляющая языка достаточно традиционна и содержит как

Общеалгоритмическая состовляющая языка достаточно традиционна и содержит как традиционные операторы действия

традиционные операторы действия (присваивание ), условия (IF), выбора (CASE),

цикла (LOOP), вызова процедуры), так и традиционные типы данных: числовые, логические, символьные, перечислительные и агрегатированные (массивы, записи, файлы).

Слайд 8 Каждый объект проекта состоит, как минимум, из двух

Каждый объект проекта состоит, как минимум, из двух различных типов описаний:

различных типов описаний: описания интерфейса и одного или более

архитектурных тел. Интерфейс описывается в объявлении объекта (entity declaration) и определяет только входы и выходы объекта.

Слайд 9 Для описания поведения объекта или его структуры служит

Для описания поведения объекта или его структуры служит архитектурное тело (architecture

архитектурное тело (architecture body). Чтобы задать, какие объекты использованы

для создания полного проекта, используется объявление конфигурации (configuration declaration).

Слайд 10 Язык VHDL является очень распространенным языком описания аппаратуры.

Язык VHDL является очень распространенным языком описания аппаратуры.

Слайд 11 Язык Verilog

Язык Verilog

Слайд 12 Язык Verilog был разработан фирмой Gateway Design Automaton

Язык Verilog был разработан фирмой Gateway Design Automaton как внутренний язык

как внутренний язык симуляции. Cadence приобрела Gateway в 1989

г. и открыла Verilog для общественного использования. В 1995 г. был определен стандарт языка — Verilog LRM (Language Reference Manual), IEEE1364-1995. Таким образом, датой появления языка Verilog следует считать 1995 г. 

Слайд 13 Основной структурной единицей Verilog описания является module. Модуль

Основной структурной единицей Verilog описания является module. Модуль соответствует entity в

соответствует entity в VHDL. Модуль описывается ключевыми словами module

— endmodule. В файле может быть описано несколько модулей. Другие модули могут подключаться к цепям модуля, образуя иерархическую структуру. При запуске Verilog симулятор строит иерархическое дерево из всех модулей, которые обнаружены в файлах, поданных на вход симулятора, и находит модуль верхнего уровня. Если таких модулей несколько, то происходит ошибка.

Слайд 14 Как правило, модуль содержит список портов — интерфейсных

Как правило, модуль содержит список портов — интерфейсных сигналов, которые служат

сигналов, которые служат для подключения его в других модулях.

Порты бывают трех типов input — входы, output — выходы, inout — двунаправленные. Входы и двунаправленные порты должны иметь тип wire, а выходы могут быть как wire, так и reg. Построение иерархии (подключение модулей) возможно двумя способами: по имени (указываются имена портов, использованные при описании модуля) или по расположению (порядок сигналов такой же, как в описании модуля).

Слайд 15 Язык SystemC
Необходимость создания нового языка описания аппаратуры возникает в

Язык SystemCНеобходимость создания нового языка описания аппаратуры возникает в том случае, если

том случае, если существующие языки не способны решать возникающие

задачи. С одной из трудноразрешимых задач столкнулись самые популярные и распространенные языки VHDL и Verilog – это постоянно растущая сложность разрабатываемых проектов и необходимость в универсальном способе описания, пригодного для всех уровней проектирования и независимого от формы реализации проектов. Попыткой решить возникшие трудности стало использование языка SystemC.

Слайд 16 В 1999 году вышла в свет первая версия

В 1999 году вышла в свет первая версия библиотеки SystemC 0.9.

библиотеки SystemC 0.9.


  • Имя файла: yazyki-opisaniya-apparatury-hdl-yazyki.pptx
  • Количество просмотров: 109
  • Количество скачиваний: 0